PORT-C in XEM8320 is not giving the same waveform as PORT-A and PORT-B

I am using xem8320 board and trying to interface ADC-LTC226x(14 bit) in the standard ports A,B, and C. Though data transmission through A and B port is possible(giving same waveform) but Port C is not processing my desired data. I have changed the constraint file(pin numbers) for C as I did for PORT-B. What should I do in this context? I need to make PORT-C work as PORT-A and B. I am attaching the waveforms that I received for PORT A,B and C for your reference.
PORT-C constraint file contains following changes:
set_property PACKAGE_PIN F20 [get_ports {adc_out_1p[0]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_1p[0]}]

PORTC-6

set_property PACKAGE_PIN C18 [get_ports adc_fr_p]

set_property IOSTANDARD LVDS [get_ports adc_fr_p]

PORTC-7

set_property PACKAGE_PIN E20 [get_ports {adc_out_1n[0]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_1n[0]}]

PORTC-8

set_property PACKAGE_PIN C19 [get_ports adc_fr_n]

set_property IOSTANDARD LVDS [get_ports adc_fr_n]

PORTC-9

set_property PACKAGE_PIN H18 [get_ports {adc_out_1p[1]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_1p[1]}]

PORTC-10

set_property PACKAGE_PIN H17 [get_ports {adc_out_2p[0]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_2p[0]}]

PORTC-11

set_property PACKAGE_PIN H19 [get_ports {adc_out_1n[1]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_1n[1]}]

PORTC-12

set_property PACKAGE_PIN G17 [get_ports {adc_out_2n[0]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_2n[0]}]

PORTC-13

set_property PACKAGE_PIN F18 [get_ports adc_sdo]

set_property IOSTANDARD LVCMOS18 [get_ports adc_sdo]

PORTC-14

set_property PACKAGE_PIN A17 [get_ports {adc_out_2p[1]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_2p[1]}]

PORTC-15

set_property PACKAGE_PIN F19 [get_ports adc_cs_n]

set_property IOSTANDARD LVCMOS18 [get_ports adc_cs_n]

PORTC-16

set_property PACKAGE_PIN A18 [get_ports {adc_out_2n[1]}]

set_property IOSTANDARD LVDS [get_ports {adc_out_2n[1]}]

PORTC-17

set_property PACKAGE_PIN E16 [get_ports adc_sck]

set_property IOSTANDARD LVCMOS18 [get_ports adc_sck]

PORTC-19

set_property PACKAGE_PIN E17 [get_ports adc_sdi]

set_property IOSTANDARD LVCMOS18 [get_ports adc_sdi]

PORTC-33

set_property PACKAGE_PIN E18 [get_ports adc_dco_p]

set_property IOSTANDARD LVDS [get_ports adc_dco_p]

PORTC-34

set_property PACKAGE_PIN C17 [get_ports adc_encode_p]

set_property IOSTANDARD LVDS [get_ports adc_encode_p]

PORTC-35

set_property PACKAGE_PIN D18 [get_ports adc_dco_n]

set_property IOSTANDARD LVDS [get_ports adc_dco_n]

PORTC-36

set_property PACKAGE_PIN B17 [get_ports adc_encode_n]

set_property IOSTANDARD LVDS [get_ports adc_encode_n]

Images are:



Please see: