XEM6010 LX150 - Invalid VHDL FrontPanel HDL files

Hi!

I have just recently received my XEM-6020 board and was trying to design something around it using VHDL. When I attempted to add the various FrontPannel HDL files (ngc files) Xilinx ISE 13.3 complained that these files had been compiled for “xc6slx45-2fgg484” and were therefore invalid. I re-installed my entire set of files from the CD just to be sure, but I got the same error.

Is it possible to get the valid files.

Thanks.

Hm. Interesting. We haven’t tried 13.3 yet, but none of the previous versions of ISE (back through version 6 or so) have ever complained about the exact part specified in the NGC.

We’ll have to give this a shot and get back to you soon. We may need to release an update for the NGC files.

Can you please post the exact error message you receive from the ISE tools?

Here is the error message:

“The file “okCoreHarness.ngc” device information, xc6slx45-2fgg484, does not match the current project device, xc6slx150fgg484-2.”

I get this message for all of the “.ngc” files that are part of the FrontPanelHDL\xem6010-LX150 directory. And just so you know, this is with version 4.0.6 of the FrontPanel HDL code.

Thanks.

Argh. Xilinx strikes again. Please send a request to [email protected] to get an interim release since I’m sure this is holding you up. We’ll see what we can do.

To close this topic, it seems that Jack was trying to add the NGC files to his project. This is not our recommended practice for including the NGC files. Please note from our Samples/README.txt file:

[QUOTE]Step 4: Add sources to your Project

Within Project Navigator, select “Add Sources…” from the “Project” menu.
Add the following files to your project: (Note that you have already copied
these files to your project directory in the previous steps. They are now
being added to your Project.) You do NOT need to add the NGC files to your
project.
[/QUOTE]