Verilog Initial begin does not execute in simulation

Hello there,

I am writing a simple Verilog code. I would like to pipe in data to XEM6001 and read it back.
At the moment I am trying to simulate the design but it seems that the simulation does not execute the initial begin block.

Can someone help me with this?

Thank you!