FlashLoader HDL

I am looking for the HDL files corresponding to flashloader.bit for the XEM6010-LX45. I can’t seem to find them in the install folder or CD.

I am trying to use flashloader.bit together with a modified version of flashloader.cpp so I can load my own data into the Flash memory at high-numbered sectors not used by the FPGA bitfile, but it seems the FPGA design only uses 16 bits for the starting address, and therefore the starting sector will always be 0 regardless of what the PC executable does.

Thanks.