XEM8370 writing to pins

Struggling to start a build with the XEM8370. When I write to several FPGA pins they do not respond. I am using the associated breakout board BRK8370 with the FPGA.

I have configured the VIO1, VIO2, and VIO3 using device settings. These read correctly with a multimeter on the breakout board and from the FrontPanel Sensors display.

I have constrained a random bunch of pins from the banks I configured.

set_property PACKAGE_PIN AM14 [get_ports {TEST_BANK_64}]
set_property IOSTANDARD LVCMOS18 [get_ports {TEST_BANK_64}]
set_property PACKAGE_PIN AB30 [get_ports {TEST_BANK_69}]
set_property IOSTANDARD LVCMOS18 [get_ports {TEST_BANK_69}]
set_property PACKAGE_PIN AH13 [get_ports {TEST_BANK_88}]
set_property IOSTANDARD LVCMOS33 [get_ports {TEST_BANK_88}]

I wrote a simple bit of code to set the pins high and flash some LEDs on the board.


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use STD.TEXTIO.ALL;
use IEEE.NUMERIC_STD.ALL;
library UNISIM;
use UNISIM.VComponents.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led_blink is
    Port ( 
    sys_clkp            :  IN    STD_LOGIC; 
    sys_clkn            :  IN    STD_LOGIC;
    led                 :  OUT STD_LOGIC_VECTOR(7 downto 0);
    TEST_BANK_88        :  OUT    STD_LOGIC;
    TEST_BANK_64        :  OUT    STD_LOGIC;
    TEST_BANK_69        :  OUT    STD_LOGIC
    );
end led_blink;

architecture Behavioral of led_blink is

component clk_wiz_0
    port
     (clk_in1_p         : in     std_logic;
      clk_in1_n         : in     std_logic;
      clk_out1          : out    std_logic
     );
    end component;

 signal clk_12_0mhz : std_logic; 
 signal counter     : STD_LOGIC_VECTOR(31 downto 0) :=(others => '0');

begin
clk_div_inst : clk_wiz_0
    port map
        (clk_in1_p => sys_clkp,
         clk_in1_n => sys_clkn,
         clk_out1 => clk_12_0mhz
        ); 

counter_clk_proc : process(clk_12_0mhz)
	begin
		if (rising_edge(clk_12_0mhz)) then
                if (counter(31) = '1') then
                    counter <= (others => '0'); -- reset counter if bit 31 is set
                else
                    counter <= std_logic_vector(unsigned(counter) + 1); -- increase counter if not
                end if;
	   end if;
end process;

    led(0) <= counter(22); -- led flashes on and off 
    led(1) <= counter(23); -- led flashes on and off
    led(2) <= counter(24); -- led flashes on and off
    TEST_BANK_88 <= '1';
    TEST_BANK_64 <= '1';
    TEST_BANK_69 <= '1';

end Behavioral;

The LEDs flash, but the pins do nothing. I can’t seem to get any response from them. Is there a step I missed in the setup or device settings that may restrict these pins from being used? Or have I committed some crime in the .vhd or .xdc?

Thanks

The solution was clearing the System Flash via Front Panel. Had been exclusively loading the bin file into the configuration memory with no luck. Tried the bit file and it worked. Erased the system flash. Reprogrammed with the bin file and it worked.