Trigger in has no response on XEM3005

Hi,
I have been communicating to XEM using C# for a while, and everything works fine, but I never tried trigger in before.
I added a trigger in to the code, but cannot observe any response.
Wire in, however, is working properly.

Verilog===============
wire [15:0] ep10wire;
wire [15:0] ep40wire;
okWireIn Conf_Addr_10 ( .ok1 (ok1), .ep_addr (8’h10), .ep_dataout (ep10wire));
okTriggerIn Conf_Addr_40 ( .ok1 (ok1), .ep_addr (8’h40), .ep_clk (clk_PLL), .ep_trigger (ep40wire));

c#=============
dev.SetWireInValue(0x10, 0xffff);
dev.UpdateWireIns();
dev.ActivateTriggerIn((short)0x40, 0xffff);

Note that the ep_clk or trigger in (clk_PLL) is always running at 2MHz, which is verified by scope.
Both ep10wire and ep40wire are assigned to test pin and observed by scope.

Does anyone has any suggestion?

Thanks

Please take another look at the API reference for using ActivateTriggerIn. Your second argument is not correct.

http://www.opalkelly.com/library/FrontPanel4API/index.html

Thank you for the prompt response!
It works great now.