ReadFromPipeOut XEMV1 firmware 1.5

Hi Jake,

Just tried your new beta library (API Performance Improvement) and the pipe performance boost is indeed pretty significant.

However I seem to have a problem with firmware 1.5 (fast wire/trigger updates) on XEMV1 FrontPanel 1.2.5 when running the ReadFromPipeOut function. The ep_read signal doesn’t seem to be asserted.

I first experienced it in my app which used to work, but not anymore after upgrading my XEM.

With the PipeTest utility, the PipeIn counter is updated but not the PipeOut one. However, with firmware 1.4, everything is fine.

Best regards,
Patrick.

Hm. That’s very interesting, indeed, because the change is at the API level only, not the driver or firmware. I’ll need to get back to you on this.

— Begin quote from Opal Kelly Support

Hm. That’s very interesting, indeed, because the change is at the API level only, not the driver or firmware. I’ll need to get back to you on this.

— End quote

I don’t think it’s a problem with the API because I got the same problem with FrontPanel 1.2.3. It seems to be a firmware related problem when using ReadFromPipeOut (WriteToPipeIn is fine with 1.5).

Best regards,
Patrick.

Hello All,
I confirm that there is a problem with ReadFromPipeOut .
On the FPGA side, i use okBufferedPipeOut.
On the C side,i get the number of requested values but the actual byte values are constantly equal to ‘ffff’

…the pipetest app functions nominally.
So maybe there could be a consistency problem between API and FW?

Hello-

I just tried v1.5 firmware on a XEM3001v1 board. I rebuilt the PipeTest application with the new beta API library and it is working fine. (Verify Transfer reports the correct bytes on read) PipeIn and PipeOut counts are updated appropriately.

Is there something else I’m missing to replicate your problem?

[QUOTE=Opal Kelly Support]Hello-

I just tried v1.5 firmware on a XEM3001v1 board. I rebuilt the PipeTest application with the new beta API library and it is working fine. (Verify Transfer reports the correct bytes on read) PipeIn and PipeOut counts are updated appropriately.

Is there something else I’m missing to replicate your problem?[/QUOTE]

Hi Jake,

Okay, if it works on your side and the hardware is 100% identical, then I must have done something wrong.

I’ll check the definition files and libraries because that’s a typical problem when different versions are around.

One comment though, it might be better to include the sample .exe and .bit files in your distribution packages even though this makes the package bigger, because it’s a more effective way to check the system main functionnalities after an upgrade. Just my 2 cents…

Best regards,
Patrick.

Hi Jake,

I don’t know what’s wrong but can’t get 1.5 to work with ReadFromPipeOut (see attached file of my results).

Please could you email me the pipetest.exe and pipetest.bit files you’re using so that I can make sure this is not a hardware problem.

Thank you,
Patrick.


xem.JPG (93.6 KB)

Jerome-

It appears Patrick has fixed his problem. He was using the older okUsbXEM.h with the new libraries. We have replaced that header file with okUsbFrontPanel.h. Please check to see that you replace the older header with the new one and let me know if that fixes your problem.

Jake