Question about ReadFromPipeOut function

Hi,
I am currently using Opal Kelly’s API in order to send data from an XEM6310 board to a PC, and I got started using the PipeTest example.
I wanted to know more about the ReadFromPipeOut function and the behaviour of an okPipeOut VHDL component. When the software calls the function, for example :
ReadFromPipeOut(0xA0, u32SegmentSize, pBuffer);

does this mean that the ep_read port of the okPipeOut will be set to ‘1’ for u32SegmentSize periods of okClk clock ?

Thanks in advance,
Kind regards,

Remi

Yes. The FrontPanel User’s manual has a timing diagram for more detail.

Oops. I’m sorry, I missed that part.