OkPipeIn error 128

I am using the XEM6310-LX150 USB 3.0.

I have successfully managed to get all model simulations working with my design (this includes using the PipeIn component as an input).

The object I have written in the XML file is used as a button to extract data from a txt file. the XML snippet is shown below. The “filename” object calls the path of the file and selects the file because I am not prompted. I haven’t included it in this message because it takes up a lot of space.

165,20 160,80 PipeInput 180,40 130,45 PipeInput 0x80 64 PipeIn2.txt

When I select the PipIn push button I get the following error…

Write to pipe at 128 failed: error Timeout.

I have written data in the text file in many ways suggested by senior firmware engineers and I have tried a hex editor. I have been emailing Opal Kelly about the issue but as soon as I asked for an example that would fit my XML example I had not more replies. Can somebody please explain what I need to do or send me an example I could use?

165,20 160,80 PipeInput 180,40 130,45 PipeInput 0x80 64 PipeIn2.txt