Front Panel XFP modules connectivity and addressing

Hey!

So I’m trying to make a front panel application, with a number of user controlled inputs to the FPGA and some pretty hefty outputs as well. I’ve gotten the code itself finished in vivado, but cant figure out how to make this front panel GUI. I’ve read through the tutorials on making xfp files, but I cant understand how to connect the data in the xfp to the signals in the fpga.

For instance, the user will have to set a 8-bit address, which in the code is ep03[7:0]. I was going to get the input through a
object class=“okDigitEntry”
component.

But how do I take those bits and send them to ep03[7:0]?

I have read through the front panel user manual http://assets00.opalkelly.com/library/FrontPanel-UM.pdf, and I am assuming that I do it through the endpoint element in the xfp file.

I instantiated the wireIn by the following line:
okWireIn wi03 (.okHE(okHE), .ep_addr(8’h03), .ep_dataout(ep03wire));
and so the address is 8’h03, but how do I select the first 8 bits of ep03 (which is 32 bits long)

Thanks!