Update for okLibrary.vhd

-Fixes bus width error in FP4.0.2 version of okLibrary.vhd
-Fixes okAA connectivity in FP4.0.2 version of okLibrary.vhd

[ATTACH]215[/ATTACH]


20110613_okLibrary.zip (3203 Bytes)

[COLOR=#3E3E3E]-Fixes okWireOR width in FP4.0.2 version of okLibrary.vhd

[/COLOR][ATTACH]231[/ATTACH]


20120327_okLibrary.zip (3204 Bytes)

I have the XEM6110v2 - the okLibrary.vhd has the following which is causing errors in xilinx 14.4

entity okHost is
generic (
CLKOUT0_PHASE : real := -36.2; :9264]