Library Declaration for BUFG etc

I am new to FPGA’s.

I have a schematic design on ISE that uses BUFG cell that works fine.

However, when I implement the same thing in verilog and use the instantiation from the documentation I get a not declared error.

How and where do I add the library reference for these library elements in verilog?

(Including BUFG.v in the project did not work.)