Basic VHDL Xilinx warning Question

Hello everyone. I am still learning every day about programing/software/hardware/VHDL/Xilinx…life in general and this is my first post, so if you could go easy on me, I would really appreciate it. :smiley:

I have a VHDL Xilinx project that has multiple files/entities.
One of the files is a fairly large state machine which contains about 540 states. And is being encoded using 1-hot encoding.

I get this one warning. (just one mind you)
Xst:1293 - FF/Latch has a constant value of 0 in block .

My entry level question is how do i know which state is in my bs_sm file?

Thanks to everyone in advance.