PipeOut and BTPipeOut return 0xF11D

Hi,
I have some troubles using both PipeOut and BTPipeOut. It seems that something crashes some seconds after the FPGA initialization. I am sending a sequence of

okw->ReadFromPipeOut(0xA0,1024,buffer)

After some time, the buffer contains only a sequence of 0xF11D. If I look at the signal connected to the ep_datain of that FPGA module by ChipScope, the value is not constant. Furthermore, no error-code is returned by ReadFromPipeOut, just the number of acquired bytes which is always constant.
Some more information:
I am using a Xem6010-LX45 (USB). Firmware 3.1, FrontPanel 4.06.
The interface is in c++ (Visual Studio 10). OS Windows 7 x64. If necessary, I can send the log of the Translate/Place & Route processes using the Xise 13.2 (x64)
Thanks in advance and best regards,

Marco

Do you have HI_AA wired up as indicated in the samples?

Hi,
thanks for the help. It was exactly the problem. I was porting some code written for the Xem3010, and I didn’t changed the okHost interface.
Problem solved,

M.