VHDL not seeing output port

I don’t need help on this anymore, so i’ve taken my code out. I don’t know how to delete a post, so i’m doing it this way.

False alarm. I found out that I was using the same architecture name for two different entities in my project. I changed their names and it works now.