Unexpected FIFO Timeout

Hello,

I am experiencing unexpected read timeout’s from calls to ReadFromPipeOut(...) and looking for any advice.

I am using an XEM7350 with a Ubuntu 16.04 x86_64 system. The PIPE is transferring approximately 250 megabytes/sec, and generally operates well for 5-30 minutes at a time. Then, at some point all calls to ReadFromPipeOut(...) time out. I believe I have an accurate wire-out which lets me see that the FIFO does in fact have data.

This bug could of course be in my FPGA code. But, are there any other circumstances in which a timeout could occur?