PipeOut and btPipeOut

Hello, I’m using a xem3001 board.
I would like to tranfer via Pipeout (and using FilePipe on frontpanel) an array of 64 integers… If I visualize this array with wire outs,
no problems… if I use PipeOut I obtain all zeroes… I checked the transfer assigning a known value to element 0 or n of array and
tranfer with pipeout was ok.
I synchronously assigned each element of array to pipeout signal… doesn’t work…
How can I make it work?
thanks in advance.
Best Regards

A few things you can try…

First, check out the PipeTest and DESTester samples. They illustrate how these endpoints are intended to be applied.

Second, you can try simulating your design using our Host Simulation. See the FrontPanel User’s Manual for more information.

Third, you can instantiate ChipScope in your design to see what’s going on.