okCoreHarness Problems with Xilinx ISE 14.4

Hello,

I just received the XEM6010 and am about to migrate the projects from the XEM3005 to the new board.
However, after importing the XEM61010 FrontPanel .ngc files into my project, I get the following error when running the Translation step:

NgdBuild:604 - logical block ‘OKInterface/okHI/core0/core0/a0/cb0’ with
type ‘TFIFO64x8a_64x8b’ could not be resolved. A pin name misspelling can
cause this, a missing edif or ngc file, case mismatch between the block name
and the edif or ngc file name, or the misspelling of a type name. Symbol
‘TFIFO64x8a_64x8b’ is not supported in target ‘spartan6’.

I have already checked all the implemenation contraints in order to avoid silly mistakes regarding pin misspelling and other issues. Perhaps this is a problem with the 14.4 version of Xilinx ISE. Unfortunatelly, I don’t have any other ISE version installed so I can check that.
I tried to search for similar problems in the forum but wasn’t able to find anything. I hope this is not a silly question.
Thank you in advance.

Hi again,

I searched the Xilinx forum and found the problem.
The “TFIFO64x8a_64x8b.ngc” is also supposed to be added to the project and I was oblivious of that.

I’m sorry for the trouble.
Best regards.