Is there a OkHex (wire in)?

Hi ,

I’m new to XML and Front Panel, is there a syntax so that I can enter a hex value? Currently I only see okDigitalEntry which is decimal values?

You can set the radix for okDigitEntry. Set to 16, it will become a hex entry.

When I set it to radix 16, I still have to enter a numeric value for the entry.

Example: I can’t enter F into the digital entry . I would need to enter 16 to represent F in the digital entry.

Is there another alternative to entering Hex values ?
I want to be able to enter a hex (letter) versus entering decimal values.

I just tested this here and it worked as expected. Which version of FrontPanel are you using? Which platform? Can you post your XML code for this component?

I’m currently using Opal Kelly 3.1 . Would I need to buy a new XEM package if I wanted to upgrade the Opal Kelly.

   <object class="okDigitDisplay">
    <position>410,170</position>
    <size>80,20</size>
  		<tooltip>READ </tooltip>
			<minvalue>0</minvalue>
    <maxvalue>60000</maxvalue>
    <raidx>16</raidx>
    <endpoint>0x2f</endpoint>
    <bit>0</bit> 
 </object> 

Please try:

16

You have it misspelled.

Please try:

16

You have it misspelled.

Please try:

 <radix>16</radix>

You have it misspelled.

Thank you , that was the fix. I have a question about OkHex(Wire Out) .

Is the values I’m reading static? meaning I have to create a button to trigger the register to read again in order for it to update?

I have a write register 0x00 which I’m writing to , but the Read register 0x20 values are not updating as I’m changing the “write” register.

Is there a function I need to include my code to trigger the read register to update?

Thank you

The values are updated via UpdateWireIns on a routine basis. You can set this timebase in the FrontPanel Preferences. Typically, about 25ms. So they somewhat echo a “real” hex input on a board.