How to interface FPGA with serial port

Hi, Can anyone help me some easy method through which i write a code in Verilog and then interface my FPGA with serial port of computer. Is there any good site giving details abt it. Thanks

Hi,
To achieve your project , you need :

  • to address voltage translation issues with a chip like MAX232 , there is a little PCB to realize with the IC and few caps, => google is you friend
  • to find or develop an UART controller → check opencores.org if you cannot doit yourself.

My FPGA is having serial interface Rs-232. I have to use a hyperterminal and send a character to FPGA and FPGA will send same character to hyperterminal. I just need a source code of verilog for that. Can anyone help me abt that.